用逻辑(数据流)描述一个一位二进制全加器

来源:百度知道 编辑:UC知道 时间:2024/05/28 00:48:14
是要用VHDL语言编写的

一位二进制全加器:
输入端口:A、B是两个二进制数,CI是输入的进位;
输出端口:S为和,CO为输出的进位。
源程序如下:

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity ADDER is
port (A,B,CI:in std_logic;
S,CO:out std_logic);
end ADDER;

architecture dataflow of ADDER is
begin
S<= CI xor A xor B;
CO<= (A and B) or (CI and A) or (CI and B);
end dataflow;

程序很短,没有也不需要使用条件赋值或选择赋值等有数据数描述特色的语句,但这的确是数据流描述。刚刚写的,希望对楼主有所帮助。