vhdl相关

来源:百度知道 编辑:UC知道 时间:2024/06/10 08:02:23
请问 VHDL中“=>” 是什么意思?和“<=”这个赋值符号有什么不同?
还有,max-plus 2中,有 XNOR 这个关键字吗?我输进去的时候它都没有显示高亮?

“=>”只用在case语句中,具有如下这种形式:
case DATA is
when 值一 => 语句一;
when 值二 => 语句二;
when 值三 => 语句三;
when others => 语句四;
end case;
其它地方都不用“=>”这个符号。

而“<=”是信号的赋值符号,最最常用的符号了,要注意的是它要与变量的赋值符号“:=”区分开。

另外我未在max-plus2中试过,但在ModelSim中是有XNOR关键字的。