用verilog设计BCD码加法器

来源:百度知道 编辑:UC知道 时间:2024/06/15 17:28:27
帮帮忙。。。

BCD码不就是8421码吗?那就要看你要设计什么样的加法器了,最简单的:
assign sum = a + b;
综合工具会根据约束调用库里的加法器。如果你要设计什么行波进位、超前进位等加法器,先把电路图画出来,再写个门级的module就OK了。