verilog设计的时候,这个错误是什么意思:multi source on signal count

来源:百度知道 编辑:UC知道 时间:2024/05/23 11:19:01

你可能对同一变量进行了两次赋值,仅供参考,本人也是新手啊

好像是多个信号源驱动一个信号,这种情况是不可综合的

这个错误是典型的多重驱动.

即对同一个变量在两个always 语句中
进行两次赋值

是多驱动问题,可能你定义了一个reg类型的变量,然后在两个always块里对这个变量分别赋值了。这种情况仿真是没问题的,但不能综合。

一个信号 连接它前面的有2个导线

一根输入0 一根输入1
你叫那信号 输入什么?0 还是 1