我想找有关音乐的VHDL程序

来源:百度知道 编辑:UC知道 时间:2024/06/01 01:10:04
就是用VHDL程序来让蜂鸣器发出音乐的声音

这种电路设计要分好几个模块

主要思路是用ROM记录乐谱

然后用分频器分频

还有就是用计数器读取乐谱

另外还可以扩展 使其显示音符

我有一个做好了的 就是ROM没填谱

-------------------------------------
-- Title: Music of Liangzhu --
-- --
-- Data: 2008-9-21 --
-------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
--------------------------------------------------------------------
entity music is
port( inclk : in std_logic; --Clock Signal
spk : buffer std_logic); --speaker driver
end music ;
--------------------------------------------------------------------
architecture behave of music is
signal tone : std_logic