用quartus2软件Verilog HDL语言怎么实现正弦波的产生

来源:百度知道 编辑:UC知道 时间:2024/05/31 07:41:14

哈哈,简单
首先 用matlab 做一个周期的正弦函数,得到一个周期的在每个角度的sin数据,然后将这些数据存到一个mif文件中。
其次,在quartus II中执行如下步骤,将mif文件转成rom存储文件,这个文件就相当于rom,然后再写个读rom程序把数据读出来,正弦函数就随之度除了
mif转rom步骤如下
quartus :
1 tools/megawizard pulg_in manager
2 creat a new.... ---->next
3 memory compiler/ rom 1-port ---> verilog hdl---->输入文件名自己定----->next
4 根据要求选择 rom位数 地址数 ---->next
5 q output port 根据左面图和自己要求可选可不选 ---->next
6 选择ye ,.... ,将mif文件 brows 进去 ,---->next
7--finish

直接调NCO或VCO的IP核就能生成,这两个IP核就是干这个的。