哪位大虾帮我看下该程序该如何改正

来源:百度知道 编辑:UC知道 时间:2024/06/24 05:19:05
Library IEEE;
Use IEEE.STD_LOGIC_1164.ALL;
Use IEEE.STD_LOGIC_ARITH.ALL;
Use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity memory is
port(clk:in std_logic;
we:in std_logic;
item_selected:in std_logic;
rest:in std_logic;
finish:in std_logic;
outofprice:in std_logic;
item:in std_logic_vector(1 downto 0);
price:in std_logic_vector(3 downto 0);
quantity:in std_logic_vector(3 downto 0);
no_item:out std_logic:='0';
item_get:out std_logic:='0';
item_price:out std_logic_vector(3 downto 0);
processend:out std_logic:='0');
end memory;
architecture Behavioral of memory is
type ram_type is array(3 downto 0)of std_logic_vector(7 downto 0);
signal ram:ram_type;
begin
process(clk)
variable expense:std_logic_vecto

这个似句法出来错 此程序在《电工电子设计月计算机仿真上面有例子》可以去仔细看下。

item_get<='1';
no_item<='0';
esle
应该是else把......

把QQ留下我教你改!