FPGA 电子钟设计的程序

来源:百度知道 编辑:UC知道 时间:2024/05/13 16:14:14

http://share.dzkf.cn/down/2008/0708/file_3457.html

或者:

--*****************************************************************
--文件名: watch.vhd
--文件描述:本文件为电子设计而开发的多功能数字钟VHDL语言完整源代码
--该数字钟实现的功能有时间,秒表,闹钟,年月日的显示设置等
--开发小组:信科04-3班 吴喆 张力文 王腾腾
--时间:2007年7月
--*********************************************************************

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_UNSIGNED;

ENTITY watch IS
PORT(clk,scanclk,clr,clock,sclock,data,pause,m_add,h_add,mclock,hclock:IN STD_LOGIC;
music:OUT STD_LOGIC;
row:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
led:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
leda:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));
END watch;

ARCHITECTURE Behavioral OF watch