8路循环彩灯控制器 用max plus 编写 vhdl语句

来源:百度知道 编辑:UC知道 时间:2024/06/20 04:43:33
设计一电路控制彩灯的循环显示,8个小灯泡排成一行,小灯泡的亮灭构成彩灯图形。其循环显示如下8种彩灯图案:
1:彩灯自左到右渐亮至全亮
2:彩灯自左到右渐灭至全灭
3:彩灯自右到左渐亮至全亮
4: 彩灯自右到左渐灭至全灭
5:彩灯全亮
6:彩灯全灭
7:彩灯全亮
8:彩灯全灭
扩展功能:
添加其他变化方式
很急呀,希望得到帮助。
我是新手,希望您写的详细些,在此感激不进。

以前做过这个,简单,不想写
自己看看这个参考吧
http://zhidao.baidu.com/question/76922909.html
用状态机,不停的做状态转换