写出D触发器的三种VHDL程序

来源:百度知道 编辑:UC知道 时间:2024/06/14 14:55:45

library ieee;
use ieee.std_logic_1164.all;
entity dff is
port(d:in std_logic;
clk,clr:in std_logic;
q:out std_logic);
end dff;
异步清零D触发器
architecture behav of dff is
begin
process(clk.clr,d)
begin
if clk='1' then
q<='0';
elsif clk'event and clk='1' then
q<='d';
end if;
end process;
end behav;
同步清零d触发器
architecture behav of dff is
begin
process(clk.clr,d)
begin
if clk'event and clk='1' then
if clk='1' then
q<='0';
else
q<='d';
end if;
end if;
end process;
end behav;
希望对楼主有用

我还没试过用VHDL画好D触发器什么的电路图,看看软件自行生成的程序呢。呵呵