急求“键控彩灯控制器”的程序?请各位帮帮忙,,,加多分,谢谢各位了

来源:百度知道 编辑:UC知道 时间:2024/06/19 02:33:21
有八个二极管做彩灯,要求用四个开关组合控制彩灯作不同的类型亮灭,,例如k1控制从左到右渐亮,k2控制从中间向两边渐亮,或者其它类型,,,要求程序用VHDL语言编写,在isplever环境下 运行,,,我是个菜鸟,请各位高手帮帮忙,,
很急,,求哪位有缘人帮帮我,,,,,

--********************************************
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;

--********************************************
ENTITY light is
PORT(
clk1 : IN STD_LOGIC;
light: buffer std_logic_vector(7 downto 0)
);
END light;

--*********************************************
ARCHITECTURE behv OF light IS
constant len : integer:=7;
signal banner : STD_LOGIC:='0';
signal clk,clk2: STD_LOGIC;
BEGIN
clk<=(clk1 and banner) or (clk2 and not banner);
process(clk1)
begin
if clk1'event and clk1='1' then
clk2<=not clk2;
end if;
end process;
process (clk)
variable flag: bit_vector(2 downto 0):="000";
begin
if clk'event and clk='1' then
if flag="000" then--从左向右亮想用K1控制就加一条语