求一个Verilog控制PS/2键盘接口的程序

来源:百度知道 编辑:UC知道 时间:2024/06/03 13:20:54
越简单越好,容易上手,最好有一点说明,让我这个初学者快点入门。关于PS/2的通讯协议我已经看过了,不过最好有一点简单的程序配合着学习才好啊。

看看这个可以吗? VHDL的
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_Arith.ALL;
USE IEEE.STD_LOGIC_Unsigned.ALL;

ENTITY keymuxout IS
PORT(
key: IN STD_LOGIC_VECTOR(7 DOWNTO 0);
inclk1,inclk2,Inclk3,inclk4,inclk5,inclk6,inclk7,inclk8: in STD_LOGIC;
muxout: OUT STD_LOGIC
);
END;

ARCHITECTURE one OF keymuxout IS
SIGNAL buffer_r:STD_LOGIC_VECTOR(7 DOWNTO 0);
BEGIN
PROCESS(key,buffer_r)
BEGIN
buffer_r<=key;
CASE buffer_r IS
WHEN "11111110"=> muxout<=inclk1;
WHEN "11111101"=> muxout<=inclk2;
WHEN "11111011"=> muxout<=inclk3;
WHEN "11110111"=> muxout<=inclk4;
WHEN "11101111"=> muxout<=inclk5;
WHEN "11011111"=> muxout<=inclk6;
WHEN "10111111"=> muxout<=inclk7;
WHEN "01111111"=> muxout<=incl