maxplus2

来源:百度知道 编辑:UC知道 时间:2024/06/17 07:27:09
library IEEE;
USE IEEE.STD_LOGIC_1164.ALL;

ENTITY mux IS
PORT (d0,d1: IN BIT;
sel:IN BIT;
q:OUT BIT);
END mux

ARCHITECTURE dataflow OF mux IS
BEGIN
q=(do AND sel)OR(NOT sel AND d1);
END dataflow

当我CHECK的时候,程序显示有一个错误:Expected ASSERT,CONSTANT,DEFINE,DESIGN,FUNCTION,IF, OPTIONS,PARAMETERS,SUBDESIGN,OR TITLE but found a symbolic NAME "library"

这个是什么错误啊,我不知道该怎么改正,请达人们赐教

保存的后缀名不对,vhdl程序的后缀应该是vhd,而不是默认的tdf,保存的时候要注意。
另外你的程序还有几个小问题,像END mux、end dataflow 后面没有分号,倒数第二行的d0你打成了do,一个是零,一个是字母o ,典型的新手错误。