这是我编写的vhdl程序请大家帮帮忙

来源:百度知道 编辑:UC知道 时间:2024/05/03 02:47:29
library ieee;
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity ch4_5 is
port(
a:in std_logic_vector(0 to 7);
b:out std_logic_vector(0 to 7);
c:inout std_logic_vector(0 to 2)
);
end ch4_5;
architecture d of ch4_5 is
signal sel:std_logic_vector(7 downto 0);
signal e:std_logic_vector(2 downto 0);
begin
sel<=a;
e<=c;
with sel select
c<="000" when "00000001",
"001" when "00000010",
"010" when "00000100",
"011" when "00001000",
"100" when "00010000",
"101" when "00100000",
"110" when "01000000",
"111" when "10000000",
"000" when null;
--with e select
--b<="00000001" wh

你的程序我调试过了,程序的问题详解我发在了我的博客上,这是链接http://hi.baidu.com/devilo00o/blog/item/1c5bda0810fc0da72fddd4ed.html
你看一下吧,有问题的话再给我留言