在FPGA中设计任意时间的延时

来源:百度知道 编辑:UC知道 时间:2024/06/14 16:00:26
请问都可以用什么方法实现。程序要用VHDL编写。请帮帮忙~

开来你需要个mcu,因为fpga就是为了不要延时,为的是及时性。否则你就修改算法,延时在fpga里面绝对不是件好事情

首先它不可能做到任意时间的延时,而做延时可以分为与时钟有关的延时和与时钟无关的延时,如果做与时钟有关的可以用计数器来做这样最少只能延时半个时钟周期,半个时钟周期以内的延时就无法做到,而要做半个时钟以内的延时则要用门来做,不同的FPGA门延时会有一些区别看你用的FPGA是多少,一般用门延时来做的话可以达到机个NS的延时,当然它也有最小延时的限制那就是你的门延时。一般来说用VHDL很容易实现计数器做的延时也就是第一种。第二种实现起来也不难,你直接调用门的IP就可以了