用过程和函数语句编写一个8位奇偶校验电路(用VHDL语言)