Quartus II软件与ISE软件分别是干什么用的?

来源:百度知道 编辑:UC知道 时间:2024/05/30 22:47:23
Quartus II软件与ISE软件分别是干什么用的?我都查过百度百科了,望能用简短的语言描述下,本人正在学习verilog HDL语言,我的资料书都介绍了这两个软件的使用方法,但我有点模糊,编verilog HDL语言不是用Quartus II软件的吗,又介绍ISE软件是为了什么?它们有什么区别吗?
望大侠帮忙解释下
先谢过了!

  1. Quartus II 是Altera公司的综合性PLD/FPGA开发软件,原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。

  2. ISE是使用XILINX的FPGA的必备的设计工具。目前官方提供下载的最新版本是14.4。它可以完成FPGA开发的全部流程,包括设计输入、仿真、综合、布局布线、生成BIT文件、配置以及在线调试等,功能非常强大。ISE除了功能完整,使用方便外,它的设计性能也非常好,以ISE 9.x来说,其设计性能比其他解决方案平均快30%,它集成的时序收敛流程整合了增强性物理综合优化,提供最佳的时钟布局、更好的封装和时序收敛映射,从而获得更高的设计性能。

QUARTUS II 用于基本的开发,波形仿真,HDL语言程序的编辑也当然在这里编译,下载到硬件!他的功能当然不仅仅只有这些,反正基础开发就用它!当用到片上系统的时候,就要用NIOS II (ISE), 开发并调试系统程序(多数用C 开发)!
刚学习就着重掌握QUARTUSII 就可以了,当你熟悉了后,就会知道ISE到底有什么用了,只能简单的给你介绍一下!

画电路图的,最主要的功能是自动布线。用过protel DXP 2004sp2,安装文件1。8g,硬盘上还有

到现在许多PCB工程师们也许还在使用Protel99或者protel99se在他们所熟悉的编辑环境下进行PCB设计,他们都很有经验,能够在protel99或protel99se上设计出一块很棒的PCB。但有的时候他们甚至不相信软件的智能化给他们带来的巨大方便。于是许多PCB工程师根本不使用软件带有的强大的自动布线功能,因为即使重复布上几百次都不能得到他们满意的方案,或是调整的线太多还不如完全手工布线。这些都让他们不愿意接受也不相信更新换代了的人工智能能给他们的设计带来什么巨大的方便,他们相信的只是他们多少年积累的经验。但实际上他们都很清楚当他们设计一块多层高密度PCB所需要付出的代价是什么,同时他们也希望真的有那么一款软件能让他们的设