Verilog怎么调用随机函数?

来源:百度知道 编辑:UC知道 时间:2024/05/22 18:43:54
比如:
output dout;
reg [1:0] dout;
dout <= 随机函数;
//这里调用的语句是怎么样的?我原本写的是:
dout <= $rondom;
但编译不通过。
我想让输出 dout 随机为 0 或 1 ,应该怎么写语句?
我只是在做仿真时候的信号源(204字节里面一字节同步,另外203字节随机),用quartus编译时提示不支持rondom函数
我想知道仿真时应该怎样实现 ,并不需要做硬件

quartus仿真是不支持不可综合逻辑的,用modelsim吧,或者把随机数写进rom中进行仿真。

$rondom为非可综合逻辑,只能用于仿真。
要想在FPGA硬件里面实现随机数,首先用rom的方法产生01随机数,然后在看你想要产生哪种分布的随机数,在用反函数的方法实现。

$random;