赠100+分**解答vhdl硬件描述语言程序

来源:百度知道 编辑:UC知道 时间:2024/05/24 13:16:46
各位大哥大姐你们好,我碰见一个程序问题,希望高手给与解决,谢谢……

自动售货机VHDL程序如下:

library ieee;
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity PL_auto1 is
port ( clk:in std_logic; --系统时钟
set,get,sel,finish: in std_logic; --设定、买、选择、完成信号
coin0,coin1: in std_logic; --5角硬币、1元硬币
price,quantity :in std_logic_vector(3 downto 0); --价格、数量数据
act:out std_logic_vector(3 downto 0); --显示、开关信号
act10,act5 :out std_logic); --1元硬币、5角硬币
end PL_auto1;
architecture behav of PL_auto1 is
type ram_type is array(3 downto 0)of std_logic_vector(7 downto 0);
signal ram :ram_type; --定义RAM
signal item: std_logic_vector(1 downto 0); --商品种类
signal coin: std_logic_vector(3 downto 0); --币数计数器
signal pri,qua:std_logic_vec

你的程序我看了一下,改成了如下形式,你看看是不是你想要的那种
http://hi.baidu.com/devilo00o/blog/item/d5bcd7f5fa1ed9d1f3d385eb.html

很简单的一个FSM,自己把FSM的图画出来就清楚了。

这太难了。