只产生一个脉冲的电路

来源:百度知道 编辑:UC知道 时间:2024/06/01 19:53:30
求助!!
我需要一个只产生一个瞬间脉冲的电路
要求:
按下按钮开关,可以产生并只产生一个脉冲,产生脉冲与否和按钮按下去的时间长短无关,放开按钮不会产生脉冲,再次按下按钮的时候又可以产生一个脉冲
好像是要用单稳态电路来做,可用芯片,47LS系列。
希望答案可以具体一点,最好有引脚的连法

用两个三极答再用电阻与电容就可实现
明天将图画好,晚上传给你,留下你的Q号,但要在线上,

找个触发器做吧,74系列有触发器,47不知道

小意思,看这图: