quartus2生成的rom的IP核,怎么调用mif文件

来源:百度知道 编辑:UC知道 时间:2024/05/29 10:31:34

哦首先你得有一个mif文件 (HEX也可以)在创建IP的时候在倒数第二个选项有个输入你把MIF加载进去就行了。
如果是自己些Mif的话,你在quartus中点新建文件,选择其他的有mif文件创建是一个EXERL形式的电子表格,输入数据,或者导入EXERL也可以。