用VHDL编写与门的问题

来源:百度知道 编辑:UC知道 时间:2024/06/03 08:16:18
下面的两个程序有什么区别吗?哪个是正确的与门程序,为什么啊?

library IEEE;
USE IEEE.STD_LOGIC_1164.ALL;

ENTITY shili2 is
port (
input1 : in std_logic;
inptu2 : in std_logic;
output1 : out std_logic
);
end entity;

architecture one of shili2 is
begin
process(input1,input2)
begin
output1<=input1 and input2;
end process;
end entity;

library IEEE;
USE IEEE.STD_LOGIC_1164.ALL;

ENTITY shili2 is
port (
input1 : in std_logic;
inptu2 : in std_logic;
output1 : out std_logic
);
end entity;

architecture one of shili2 is
begin
output1<=input1 and input2;
end entity;
只是一个用了进程一个没用进程,语法编译都通过,他们到底哪个是正确的呢,还请明白的人指教指教,最好在硬件实现方面时的问题也说一下

我觉得效果都是一样的 ,第一个用了一个进程 进程是并行语句(内部是顺序语句) 第二个直接用的并行信号赋值语句(它本身可以作为顺序语句来用,也可以作为并行语句来用) 所以效果应该一样

进程语句是并行语句,一个结构体中可以包含多个进程,你可以看看他们的仿真波形,或者在硬件上实现一下