verilog实现4*4键盘

来源:百度知道 编辑:UC知道 时间:2024/06/03 17:59:50
我买的板子上有4*4的键盘,我想实现通过按键实现在led数码管上显示1~f的数字。请大家给我些提示和思路,我自己写就成。谢谢!
我买的板子上面的例子是VHDL的,看不懂,气死我了。一楼的哥们你补充一下好吗,扫描按键我还不太理解。呵呵

扫描按键 ,去抖动,确定按键值,然后就是相应的操作了,
其实你买的板子上应该有相应的例子的吧,可以看看参考下,或者卖板子的都会提供相应的技术支持,好好利用那些资源,祝你成功

可以通过键盘扫描啊,要去抖,跟写c差不多~