如何用FPGA实现信号发生器?

来源:百度知道 编辑:UC知道 时间:2024/05/04 14:39:13
1.要求可输出正玄波 方波 锯齿波....
2.要求频率可调(用单片机) 可显示频率.
3.要能附加原理图 和参考程序
谢谢
我的邮箱是guzhying@163.com 请高手发给我 谢谢

FPGA一般用的是查表法,
我没有做过,我知道FPGA可以产生任意频率的方波,你再试试用查表法把方波变成正弦波与三角波.

我估计是你参加电子设计大赛,呵呵,用DDS吧

无聊得很,总是拿FPGA这么高档的东西搞这么弱智的功能。中国大学是怎么了。

DDS,又是电子竞赛把~~~~赫赫

DDS是最好的