如何用Quartus II对用Verilog HDL语言编写的源码进行仿真

来源:百度知道 编辑:UC知道 时间:2024/06/17 17:22:16
我对Quartus II的界面不是很熟悉,我现在用Verilog HDL语言编写了源码,想直接通过代码生成实现逻辑功能,不知道要将这些代码放到何处生成?????拜托各位大侠了
我是写好了Verilog HDL语言编写了源码,不知道放到Quartus II的什么地方生成我要实现的与。或···等逻辑

要使用QuartusII 进行仿真,首先进行代码编译。

代码输入完成后,点击start compilation按钮开始编译,编译完毕后,点击新建按钮,新建一个WaveForm文件。
然后打开Node Finder,将Pin选择为 All,然后点击 find 按钮,将会将你的代码中的所有输入输出管脚都显示出来,用鼠标选择所有管脚,拖动到WaveForm文件的波形显示框中,每个管脚的状态就都出来了,在你想要输入的管脚上设置高低电平,完毕后,点击 Start Simulation 按钮开始仿真,结束后输出管脚会显示结果。

1、使用QuartusII 进行仿真,先进行代码编译。
2、代码输入完成后,点击start compilation按钮开始编译,编译完毕后,点击新建按钮,新建一个WaveForm文件。
3、然后打开Node Finder,将Pin选择为 All,然后点击 find 按钮,会将代码中的所有输入输出管脚都显示出来,用鼠标选择所有管脚,拖动到WaveForm文件的波形显示框中,每个管脚的状态就都出来了
4、在想要输入的管脚上设置高低电平,完毕后,点击 Start Simulation 按钮开始仿真,结束后输出管脚会显示结果。

quartus 2 有功能和波形仿真两种!写的源码编译后,就进行逻辑仿真就行了!或者直接下载到开发板上。进行调试

保存为module一样的文件名后点processing里面的start compilation就可以了。

Quartus II 是FPGA 综合工具 ,不是仿真工具
仿真用modelsim