关于使用MAX PLUS 2进行VHDL语言编程

来源:百度知道 编辑:UC知道 时间:2024/05/17 01:56:44
为什么我使用文本编程的时候,每次我输入的程序都是对的,检查的时候它总是提示我有一个错误,我不明白,所以在这里问问大家,错误提示是这样的:
Error:Line 1,File e:\max plus file\1.tdf:
TDF synatx error:Expced ASSERT.CONSTANT,DEFINE,DESIGN,FUNCTION,IF,OPTIONS,PARAMETERS,
SUBDESIGN,or TITLE but found a symbolic name "library"
这个错误到底应该怎么解决!

可能是你装的MAX PLUS 2里面少文件了,你用的是正版的还是自己考的还是在网上下的,我是用考的盘装的,你说的这个问题我以前没有见过,可能我用的时间也是比较短。以后有什么问题大家一起讨论。
我的信箱:dalian108@163.com