QuartusII怎么用啊

来源:百度知道 编辑:UC知道 时间:2024/05/28 08:44:58

QuartusII 是Altera公司开发的功能最强大的PLD编译工具,全面取代MAX+PLUS

使用步骤:

一、建立工程.
1、「File」→「New Project Wizard」开始新工程的建立设置。『NEXT』
2、指定project的路径,和project的名称,顶层文件的名称(一般与工程名相同)。
3、指定project中要include 的文件。
4、选择project中要使用的一些EDA TOOLS。
5、选择所使用的器件的家族“family” 和具体型号。
6、『finish』 完成工程的设置。

二、输入文件. 在工程中新建设计文件:图形文件“Block Diagram/Schematic File”,Verilog语言文 件“VerilogHDL File”
1、完成工程文件的输入,若为顶层文件,则文件名应该保存为与工程名相同。
2、编译设置:「Assignment」→「Compiler Settings Wizard」→「Next」
3、根据编译窗口的提示修改错误。
4、编译后会生成编译报告“Compilation Report”会分成如下几项:
(1) Analysis&Synthesis语法检查,把大电路转成较小的元件
(2) Fitter 器件资源利用情况,引脚分配情况等
(3) Assembler 连线各元件
(4) Timing Analyzer 时间分析

三、仿真. 完成工程文件的编译、综合、时间、分析后就可以建立波形仿真文件进行功能仿真
1、建立仿真文件
「File」→「New」→「Other Files」→「Vector Waveform File」→「OK」
2、选择输入输出引脚
Edit→「Insert Node or Bus」→「Node Finder」,在「Filter」处选择「Pins:all」,再按下「