设计一个1110010序列检测器,即检测器连续收到一组串行码“1110010”后,输出检测标志1,否则输出0。

来源:百度知道 编辑:UC知道 时间:2024/09/23 04:57:33

需要有时钟,或者知道你这个序列的频率(每一位的时间长度)。用一个串/并转换器接收,把该序列变成并行数据,然后用逻辑电路判断该数据。建议使用FPGA或者CPLD来做。如果不知道你的原始数据的数据率,则不太容易做。

数字电子线路的知识来的,用比较器很容易做出来的。