VHDL 上升沿 下降沿 改变变量植

来源:百度知道 编辑:UC知道 时间:2024/06/15 00:40:49
如果我想在一个脉冲信号的上升沿给变量a赋值1,在脉冲信号下降沿给变量a赋值0,应该怎么写VHDL程序呢?
上升沿和下降沿不能在同一个进程中检测,但同一个变量又不能在两个进程里赋值,郁闷啊。。。。
哪位高手帮帮忙啊~~~~~~~~~

process (CLOCK)
if (clock'event and clock = '1') then
a <= '1' ;
elsif (clock'event and clock = '0') then
a <= '0';
endif;
end process;
要是写应该这样写,其实楼主想一想,上升后赋1,下降再赋0,其实这个变量不就是CLOCK本身么?
所以:
a<= clock;就行了