如何使用FPGA芯片的片内RAM设计汉字显示电路啊?点阵显示器是8×8的阵列。

来源:百度知道 编辑:UC知道 时间:2024/05/13 23:40:52
这是我的期末考试,请知道怎么做的大侠救救我啊

这个是显示器的问题,和FPGA没多大关系。
看看显示器的说明书.
基本上应该是有个编号对应一个汉字的。
FPGA控制输出的数是多少就行.比如啊是1234,那么你FPGA只要输出1234就可以.