急~~~求助!!基于eda vhdl 交通灯的设计报告

来源:百度知道 编辑:UC知道 时间:2024/05/22 01:15:02
.课程设计的要求
用HDL语言设计实现一个交通灯控制器电路:十字路口A方向和B方向各设红、黄、绿和左拐四盏灯,四盏灯按合理的顺序亮灭,并能将灯亮的时间以倒计时的形式显示出来。
功能要求如下:
1) 两个方向各种灯亮的时间能够进行设置和修改,此外,假设A方向是主干路,车流量大,因此A方向通行的时间应比B方向长;
2) 每个方向四种灯依次按如下顺序点亮,并不断循环:绿灯—黄灯—左拐灯—黄灯—红灯,并且每个方向红灯亮的时间应该与另一方向绿、黄、左拐、黄灯亮的时间相等。黄灯所起的作用是用来在绿灯和左拐灯后进行缓冲,以提醒行人及驾驶员该方向上要禁行了;
3) 说明设计思路;
4) 写出源程序,注明各方向各种灯亮的时间;
5) 给出仿真波形,并说明;
6) 详细列出输入及仿真过程(选作)。
~~~~~~~~~~
麻烦高手帮助一下 发到我的邮箱boy_1985@126.com
来做生意的请绕行。。。谢谢~~~~

黄灯—红灯,并且每个方向红灯亮的时间应该与另一方向绿、黄、左拐、黄灯亮的时间相等。黄灯所起的作用是用来在绿灯和左拐灯后进行缓冲,以提醒行人及驾驶员该方向上要禁行了;
3) 说明设计思路;
4) 写出源程序,注明各方向各种灯亮的时间;
5) 给出仿真波形,并说明;
6) 详细列出输入及仿真过程(选作)。
~~~~~~~~~~
麻烦高手帮助一下 发到我的邮箱boy_1985@126.com
问题补充:来做生意的请绕行。。。谢谢~~~~