时钟设置

来源:百度知道 编辑:UC知道 时间:2024/05/16 20:45:30
请问下高手下面一段程序
process (clk16x,clk1x_enable)
begin

if clk16x'event and clk16x = '1' then
if clk1x_enable = '1' then
clkdiv <= clkdiv + "0001" ;
end if ;
end if ;
end process ;

clk1x <= clkdiv(3) ;

clk1x的频率与clk16x的关系 为什么 谢谢大家
好像不是啊 clk1x应该是clk16x的16分频 但我不知道是怎么出来的

当clk16x产生上升沿时,clkdiv开始计数,计满3时clk1x为1
也就是说,clk16x三个脉冲能使clk1x产生一个脉冲
所以,clk1x的频率是clk16x的1/3,即clk1x是clk16x的三分频

补充:那你知道clkdiv(3)是什么意思吗,我因为不理解这个表达的意思,所以猜测是计数到3
如果是16分频的话,那么clkdiv从0000计数到1111,再返回0000,确实是计数16次,也就是16分频