请帮帮忙 设计一个组合逻辑电路

来源:百度知道 编辑:UC知道 时间:2024/06/01 18:46:29
怎样设计这个代码转换电路,输入为四位二进制代码,输出为四位格雷码,可以才用各种逻辑功能的门电路来实现。我是数字逻辑电路的初学者,请高手帮帮忙,不胜感激。。。。

用卡诺图变换,得到表达式就行了。那个题是书上的例题啊

列转换真值表,用卡诺图化简,得出表达式。
二进制 格雷码
b3 b2 b1 b0 g3 g2 g1 g0
0000 0000
0001 0001
0010 0011
0011 0010
0100 0110
0101 0111
0110 0101
0111 0100
1000 1100
1001 1101
1010 1011
1011 1110
1100 1010
1101 1011
1110 1001
1111 1000