怎么在modelsim中生成和使用rom

来源:百度知道 编辑:UC知道 时间:2024/06/25 18:18:07
我在用verilog做个DDS,正弦波查表用的rom不知道怎么做,也不知道怎么导进去,有好心人帮忙一下吧~谢谢!!
急急急
用case的方式我也知道,我想问的就是怎么做出一个可以用来做查表的东西~

这个过程很复杂。
首先纠正一下:
ROM是用FPGA芯片对应厂商的综合环境生成的。
Altera就要用Quartus或者maxplus中的megawizard
Xilinx要用ISE中的Core Generator。
生成ROM以后,还需要赋初始值。就是你ROM中的查找表值。
光有这几步还不够。因为生成的东西是一个黑盒文件。modelsim只有借助对应开发商的IP编译库来完成仿真。

如果你真的很着急。其实可以不必用RAM来完成。
你再写一个模块:
把你的相位值用case做个语句:
比如:
case (p_cnt)
8'h00 : d_out <= 8'h00;
8'h01 : d_out <= 8'h02;
...
//这里可以把你按照相位值对应的幅度值全部设上去。就完成了类似ROM功能的一个译码过程。就可以吧相位转化成幅度了。

如果还有不明白的。284649277 qq联系。

不用管那么多,只要是hex文件,就可以识别.如果是mif的你就自己转hex吧 .