有关十进制整数(BCD)转换成二进制的电路设计!

来源:百度知道 编辑:UC知道 时间:2024/06/22 02:17:26
1、将2个BCD码转换成对应的二进制数;并设计完成此功能的“逻辑电路”。
2、选择适当的逻辑电路和芯片实现该功能。

最好是利用MAX-PLUSII进行电路设计和仿真

另外是十进制转换成二进制。不是二进制转换成十进制!!!!!
3L的仁兄,请你再具体的说一说该如何实现这功能好吧。。。。

有现成的芯片。

芯片(1)74LS154

:4-16线译码器,四位2进制数(4位BCD码编制)转换为16位2进制数

芯片(2)74LS138

:3-8线译码器,3BCD---转8位2进制数 

你可以去www.21ic.com上搜索这两个芯片的原理图。原理图太大了上传不了。

二进制编码的十进制数,简称BCD码(Binarycoded Decimal). 这种方法是用4位二进制码的组合代表十进制数的0,1,2,3,4,5,6 ,7,8,9 十个数符

二进制编码的十进制数,简称BCD码(Binarycoded Decimal). 这种方法是用4位二进制码的组合代表十进制数的0,1,2,3,4,5,6 ,7,8,9 十个数符。4位二进制数码有16种组合,原则上可任选其中的10种作为代码,