关于EDA的频率计设计问题?主要是周期怎么测量?各位高手指点一下。。谢谢

来源:百度知道 编辑:UC知道 时间:2024/05/02 07:00:32
8位十进制显示数字频率计(带周期测量)
功能要求:
1、 能测量1—99999999Hz的方波信号频率,(能测量10uS—1000mS的周期),并以十进制的方式显示。
2、 具有工作方式转换控制键、开始键、停止键等控制键。
3、 数值显示用LED数码管动态显示。

由于没有分了,就谢谢大家,帮个忙。。。

呵呵呵。。。

这个是一个开放性设计性的实验课题啊 。、你不是要测量周期么。。

我做过一个关于显示秒表,测量周期的。。。给你我调试过的程序参考

一下,希望对你有所帮助。。

哥们祝你好运~~~~

1.分频器代码:
将2.5MHz脉冲变成100Hz
library ieee;
use ieee.std_logic_1164.all;
entity div is
port(clr,clk: in bit;q: buffer bit);
end div;
architecture a of div is
signal counter:integer range 0 to 12499;
begin
process(clr,clk)
begin
if (clk='1' and clk'event) then
if clr='1' then
counter<=0;
elsif counter=12499 then
counter<=0;
q<= not q;
else
counter<=counter+1;
end if;
end if;
end process;
end a;
2.十进制计数器代码:
原理为加法计数器,计数十时由cout进位
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity count10 is
port