基于VHDL语言的频率计设计