VHDL 语言的38译码器和4选一数据选择器的CASE语句和IF语句的程序怎么编啊?

来源:百度知道 编辑:UC知道 时间:2024/06/04 10:49:40
刚学VHDL语言不怎么会编··
VHDL 语言的38译码器和4选一数据选择器的CASE语句和IF语句的程序各自要怎么编啊??

啥变量,要求都没有,我就随便写个格式吧

CASE 好像这样
CASE d IS
WHEN”000”=>q<=”0111111”;
WHEN”001”=>q<=”0000110”;
WHEN”010”=>q<=”1011011”;
...
WHEN OTHERS=>q<=”0000000”
END CASE;

IF 好像这样
IF D='000' THEN
Q<='000';
ELSE
IF D='001' THEN
Q<='001'
END IF;
END IF;