Quartus II 6.0的报错!

来源:百度知道 编辑:UC知道 时间:2024/05/23 20:44:46
Error (10500): VHDL syntax error at ctrl.vhd(312) near text "BEGIN"; expecting "(", or "'", or "." 你知道 这个报错什么意思吗?? 非常感谢

--BEGIN前一句要分号结束
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;

ENTITY Test IS
PORT
(
PORT1: OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
PORT2: OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END Test;

ARCHITECTURE Test_arch OF Test IS
SIGNAL Period1uS, Period1mS, Period1S: STD_LOGIC;
SIGNAL spdata: STD_LOGIC_VECTOR(10 DOWNTO 0);
SIGNAL PS2: STD_LOGIC;
BEGIN
--BEGIN后面不要分号(;)
PROCESS( LED, Refresh )
BEGIN
--你的PROCESS
END PROCESS;
PORT1 <= "ZZZZZZZZZZZZZZZZ";
PORT2 <= "ZZZZZZZZZZZZZZZZ";

END Test_arch;

上一句没有加分号吧,或者括号之类的 ,检查一下吧

对,begin后面别画蛇添足。