EDA课程设计出租车计价器的VHDL语言设计的程序

来源:百度知道 编辑:UC知道 时间:2024/05/27 19:50:30
能在软件上通过仿真。急求,谢谢。
出租车计价器:5KM起计价,起始价5元,每公里1.2元;传感器输出脉冲为0.5m/个;每0.5km改变一次显示,且提前显示(只显示钱数)。最好把仿真的软件名说出来,过程简要叙述一下,谢谢,谢谢。

给我具体的要求,不出意外2天后给你,不会耽误你吧,但是希望在加点分 ,我们一般都用的QuartusII5仿真的,不好意思,我这一阵子有点忙,这是我同学以前做的,我还没来的急看了,你看看符合你的要求吗?如果那里不符合,告诉我,我再改。这个肯定不能自动满足你上诉的要求的,这些事是要求你自己加信号的,你自己该也可以,比如你没有要求半道停车的,在仿真时就屏蔽stop端口就行了,至于缺少什么功能,你在告诉我。
出租车计价器VHDL程序与仿真

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity taxi is
port ( clk_240 :in std_logic; --频率为240Hz的时钟
start :in std_logic; --计价使能信号
stop:in std_logic; --等待信号
fin:in std_logic; --公里脉冲信号
cha3,cha2,cha1,cha0:out std_logic_vector(3 downto 0); --费用数据
km1,km0:out std_logic_vector(3 downto 0); --公里数据
min1,min0: out std_logic_vector(3 downto 0)); --等待时间
end taxi;
architecture behav of taxi is
signal f_15,f_16,f_1:std_log