急求四人抢答器vhdl语言编的 !!高手速来帮忙!!

来源:百度知道 编辑:UC知道 时间:2024/06/08 12:14:20
急求啊 请大家帮帮忙!!

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
--------------------------------------------------------------------
entity exp5 is
port( S1,S2,S3,S4 : in std_logic; --输入:表示4个人
S5 : in std_logic; --主持人按键
D1,D2,D3,D4 : out std_logic --抢答结果显示
);
end exp5;
--------------------------------------------------------------------
architecture behave of exp5 is
signal Enable_Flag : std_logic;
signal S : std_logic_vector(3 downto 0);
begin
process(S1,S2,S3,S4,S5) --允许抢答控制
begin
S<=S1&S2&S3&S4;
if(S5='0') then
Enable_Flag<='1';
elsif(S/="1111") then
Enable_Flag<='0';
end if;
end process;
process(S1,S2,S3,S4,S5) -