DS18B20与vhdl设计的芯片相连需要写程序吗

来源:百度知道 编辑:UC知道 时间:2024/06/02 12:23:05
我用VHDL语言写了一个温度控制芯片,这个芯片要不停的读DS18B20的数据,我想知道的是怎么读取啊?直接把DS18B20的输出引脚和我的芯片电路的输入相连就可以了吗,还需要写点程序什么的吗
我现在设计一个温度控制芯片,需要外接DS18B20,我从别的地方找了一个驱动程序。以下是程序
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity ds18b20 is
port(start: in std_logic;
ioout: out std_logic;
ioin: in std_logic;
clk:in std_logic;
thout: out std_logic_vector(3 downto 0);
tlout: out std_logic_vector(3 downto 0);
tdout: out std_logic_vector(3 downto 0)
);
end ds18b20;
。。。省略

thout<=tpout(7 downto 4);
tlout<=tpout(3 downto 0);
tdout<=tl(3 downto 0);
end behave;
我把这个驱动模块加上就直接可以读取数据了吗?另外DS18B20的I/O口于这个模块的ioin口连吗,输出的ioout口是什么?
thout: out std_logic_vector(3 downto 0);
tlout: out std_logic_vector(3 downto 0);
tdout: out std_logic_vector(3 downto 0)
这3个输出口什么什么意思?个十百位吗?

http://down.elecfans.com/dm/elec/ds18b20.pdf
ds18b20的说明

是不是它们俩?
HIGH TEMPERATURE TRIGGER, TH
LOW TEMPERATURE TRIGGER, TL

剩下一个你再仔细看看吧