VHDL中wait语句的问题

来源:百度知道 编辑:UC知道 时间:2024/05/26 11:19:35
在一个VHDL程序中,假如执行了wait语句使进程处于挂起的状态,那么当脱离挂起状态时,是重新执行进程呢还是继续执行进程呢?书上说的不清楚啊!

那就要看是wait语句的那种形式了,wait until,wait for是执行后面的语句,
wait on 可以执行后置语句,也可以重新启动进程(条件是信号量必须有一个新的变化,这与敏感信号表是一样的,其实就是看你把wait on后有无语句 没有 那当然只有重新启动进程了)一般都把wait on当成敏感信号表来用

那就要看是wait语句的那种形式了,wait until,wait for是执行后面的语句,
wait on 可以执行后置语句,也可以重新启动进程(条件是信号量必须有一个新的变化,这与敏感信号表是一样的,其实就是看你把wait on后有无语句 没有 那当然只有重新启动进程了)一般都把wait on当成敏感信号表来用

那就要看是wait语句的那种形式了,wait until,wait for是执行后面的语句,
wait on 可以执行后置语句,也可以重新启动进程(条件是信号量必须有一个新的变化,这与敏感信号表是一样的,其实就是看你把wait on后有无语句 没有 那当然只有重新启动进程了)一般都把wait on当成敏感信号表来用

wiat for me

继续执行